Flip_Flop SR

 Tiene tres entradas, S (de inicio), R (reinicio o borrado) y C (para reloj). Tiene una salida Q, y a veces también una salida complementada, la que se indica con un circulo en la otra terminal de salida. Hay un pequeño triángulo en frente de la letra C, para designar una entrada dinámica. El símbolo indicador dinámico denota el echo de que el flip-flop responde a una transición positiva ( de 0 a 1) de la señal de reloj.

Su unidad básica (con compuertas NAND o NOR) se dibuja a continuación que, como actúa por "niveles" de amplitud (0-1) recibe el nombre de Flip-Flop RS activado por nivel (FF-RS-AN). Cuando no se especifica este detalle es del tipo Flip-Flop RS maestro- esclavo (FF-RS-ME). Sus ecuaciones y tabla de funcionamiento son: Q = S + q R* R S = 0



Las características del funcionamiento del flip-flop R-S son las siguientes: 

• Dependiendo de los elementos usados para construir el flip-flop R-S, éste tendrá una combinación de valores S y R con la cual mientras haya suministro de energía retendrá por tiempo indefinido la información que le fue colocada anteriormente. 

• Desgraciadamente, tendrá también otra combinación de valores que lo colocarán en un estado no-definido en el cual las salidas Q y Q' dejarán de ser complementarias. Esta combinación de valores debe evitarse a toda costa. 

• Este elemento, como todos los demás bloques fundamentales en los circuitos lógicos, se puede construir empleando funciones lógicas básicas. En general, el flip- flop R-S se construye empleando ya sea funciones NAND o funciones NOR. 

• Una forma de analizar el comportamiento "interno" del flip-flop R-S cuando es construido a partir de funciones lógicas básicas es considerar para cada combinación de unos y ceros a la entrada todas las combinaciones posibles de unos y ceros a la salida, eliminando sistemáticamente las combinaciones de unos y ceros que no sean compatibles. Este método resulta algo laborioso.

BIBLIOGRAFIA

flip-flop R-S. funcionamiento e implementación con compuertas lógicas. 
http://www.udistrital.edu.co/comunidad/profesores/jruiz/j airocd/graficos/circuitosdig/digsec.ppt

Comentarios

Entradas populares de este blog

ARREGLO LOGICO GENERICO (GAL)

Función "rising_edge" para VHDL

Señal del reloj en VHDL